Step Coverage and Dry Etching Process Improvement of Amorphous Carbon Hard Mask

Amorphous carbon hard mask (ACHM) films have been widely applied as protective components and hard etching masks in lithography and dry etching processes. The capability of lithography is directly dependent on the step coverage (SC) of the ACHM. Poor SC may impact the protection of device patterns d...

Full description

Bibliographic Details
Main Authors: Zheng Jiang, Hao Zhu, Qingqing Sun, Davidwei Zhang
Format: Article
Language:English
Published: MDPI AG 2021-10-01
Series:Electronics
Subjects:
Online Access:https://www.mdpi.com/2079-9292/10/20/2512
_version_ 1797514768039280640
author Zheng Jiang
Hao Zhu
Qingqing Sun
Davidwei Zhang
author_facet Zheng Jiang
Hao Zhu
Qingqing Sun
Davidwei Zhang
author_sort Zheng Jiang
collection DOAJ
description Amorphous carbon hard mask (ACHM) films have been widely applied as protective components and hard etching masks in lithography and dry etching processes. The capability of lithography is directly dependent on the step coverage (SC) of the ACHM. Poor SC may impact the protection of device patterns during the etching process and lead to overlay marks occurring in lithography. In this work, the ACHM film processing process is engineered and optimized towards better SC through the comparative study of the C<sub>2</sub>H<sub>2</sub> and C<sub>3</sub>H<sub>6</sub> precursors at different temperatures. Furthermore, a process parameter design of experiment (DOE), with C<sub>2</sub>H<sub>2</sub> as a precursor to optimize the dry etching rate, is proposed. The results of the experiment show that the dry etching performance is enhanced by higher power, temperature and C<sub>2</sub>H<sub>2</sub> flow, and a smaller gap, lower pressure and lower carrier gas flow. A selective etching ratio of SiO<sub>2</sub> and SiN, with an improved process window, is obtained. ACHM film elimination process is also validated by characterizing the surface roughness. The demonstrated results can be instructive in terms of the optimization of etching process in future semiconductor manufacturing.
first_indexed 2024-03-10T06:36:15Z
format Article
id doaj.art-0da6c2861a054aa885219b82866f39b6
institution Directory Open Access Journal
issn 2079-9292
language English
last_indexed 2024-03-10T06:36:15Z
publishDate 2021-10-01
publisher MDPI AG
record_format Article
series Electronics
spelling doaj.art-0da6c2861a054aa885219b82866f39b62023-11-22T18:02:24ZengMDPI AGElectronics2079-92922021-10-011020251210.3390/electronics10202512Step Coverage and Dry Etching Process Improvement of Amorphous Carbon Hard MaskZheng Jiang0Hao Zhu1Qingqing Sun2Davidwei Zhang3State Key Laboratory of ASIC and System, School of Microelectronics, Fudan University, Shanghai 200433, ChinaState Key Laboratory of ASIC and System, School of Microelectronics, Fudan University, Shanghai 200433, ChinaState Key Laboratory of ASIC and System, School of Microelectronics, Fudan University, Shanghai 200433, ChinaState Key Laboratory of ASIC and System, School of Microelectronics, Fudan University, Shanghai 200433, ChinaAmorphous carbon hard mask (ACHM) films have been widely applied as protective components and hard etching masks in lithography and dry etching processes. The capability of lithography is directly dependent on the step coverage (SC) of the ACHM. Poor SC may impact the protection of device patterns during the etching process and lead to overlay marks occurring in lithography. In this work, the ACHM film processing process is engineered and optimized towards better SC through the comparative study of the C<sub>2</sub>H<sub>2</sub> and C<sub>3</sub>H<sub>6</sub> precursors at different temperatures. Furthermore, a process parameter design of experiment (DOE), with C<sub>2</sub>H<sub>2</sub> as a precursor to optimize the dry etching rate, is proposed. The results of the experiment show that the dry etching performance is enhanced by higher power, temperature and C<sub>2</sub>H<sub>2</sub> flow, and a smaller gap, lower pressure and lower carrier gas flow. A selective etching ratio of SiO<sub>2</sub> and SiN, with an improved process window, is obtained. ACHM film elimination process is also validated by characterizing the surface roughness. The demonstrated results can be instructive in terms of the optimization of etching process in future semiconductor manufacturing.https://www.mdpi.com/2079-9292/10/20/2512amorphous carbon hard maskstep coveragedry etchinglithographysurface roughness
spellingShingle Zheng Jiang
Hao Zhu
Qingqing Sun
Davidwei Zhang
Step Coverage and Dry Etching Process Improvement of Amorphous Carbon Hard Mask
Electronics
amorphous carbon hard mask
step coverage
dry etching
lithography
surface roughness
title Step Coverage and Dry Etching Process Improvement of Amorphous Carbon Hard Mask
title_full Step Coverage and Dry Etching Process Improvement of Amorphous Carbon Hard Mask
title_fullStr Step Coverage and Dry Etching Process Improvement of Amorphous Carbon Hard Mask
title_full_unstemmed Step Coverage and Dry Etching Process Improvement of Amorphous Carbon Hard Mask
title_short Step Coverage and Dry Etching Process Improvement of Amorphous Carbon Hard Mask
title_sort step coverage and dry etching process improvement of amorphous carbon hard mask
topic amorphous carbon hard mask
step coverage
dry etching
lithography
surface roughness
url https://www.mdpi.com/2079-9292/10/20/2512
work_keys_str_mv AT zhengjiang stepcoverageanddryetchingprocessimprovementofamorphouscarbonhardmask
AT haozhu stepcoverageanddryetchingprocessimprovementofamorphouscarbonhardmask
AT qingqingsun stepcoverageanddryetchingprocessimprovementofamorphouscarbonhardmask
AT davidweizhang stepcoverageanddryetchingprocessimprovementofamorphouscarbonhardmask