ILP-Based and Heuristic Scheduling Techniques for Variable-Cycle Approximate Functional Units in High-Level Synthesis

Approximate computing is a promising approach to the design of area–power-performance-efficient circuits for computation error-tolerant applications such as image processing and machine learning. Approximate functional units, such as approximate adders and approximate multipliers, have been actively...

Full description

Bibliographic Details
Main Authors: Koyu Ohata, Hiroki Nishikawa, Xiangbo Kong, Hiroyuki Tomiyama
Format: Article
Language:English
Published: MDPI AG 2022-09-01
Series:Computers
Subjects:
Online Access:https://www.mdpi.com/2073-431X/11/10/146
_version_ 1797474066088591360
author Koyu Ohata
Hiroki Nishikawa
Xiangbo Kong
Hiroyuki Tomiyama
author_facet Koyu Ohata
Hiroki Nishikawa
Xiangbo Kong
Hiroyuki Tomiyama
author_sort Koyu Ohata
collection DOAJ
description Approximate computing is a promising approach to the design of area–power-performance-efficient circuits for computation error-tolerant applications such as image processing and machine learning. Approximate functional units, such as approximate adders and approximate multipliers, have been actively studied for the past decade, and some of these approximate functional units can dynamically change the degree of computation accuracy. The greater their computational inaccuracy, the faster they are. This study examined the high-level synthesis of approximate circuits that take advantage of such accuracy-controllable functional units. Scheduling methods based on integer linear programming (ILP) and list scheduling were proposed. Under resource and time constraints, the proposed method tries to minimize the computation error of the output value by selectively multi-cycling operations. Operations that have a large impact on the output accuracy are multi-cycled to perform exact computing, whereas operations with a small impact on the accuracy are assigned a single cycle for approximate computing. In the experiments, we explored the trade-off between performance, hardware cost, and accuracy to demonstrate the effectiveness of this work.
first_indexed 2024-03-09T20:25:52Z
format Article
id doaj.art-1223c0fea1e741e48f18ff6d9342b009
institution Directory Open Access Journal
issn 2073-431X
language English
last_indexed 2024-03-09T20:25:52Z
publishDate 2022-09-01
publisher MDPI AG
record_format Article
series Computers
spelling doaj.art-1223c0fea1e741e48f18ff6d9342b0092023-11-23T23:35:59ZengMDPI AGComputers2073-431X2022-09-01111014610.3390/computers11100146ILP-Based and Heuristic Scheduling Techniques for Variable-Cycle Approximate Functional Units in High-Level SynthesisKoyu Ohata0Hiroki Nishikawa1Xiangbo Kong2Hiroyuki Tomiyama3Graduate School of Science and Engineering, Ritsumeikan University, Kusatsu 525-8577, Shiga, JapanGraduate School of Information Science and Technology, Osaka University, Suita 565-0871, Osaka, JapanGraduate School of Science and Engineering, Ritsumeikan University, Kusatsu 525-8577, Shiga, JapanGraduate School of Science and Engineering, Ritsumeikan University, Kusatsu 525-8577, Shiga, JapanApproximate computing is a promising approach to the design of area–power-performance-efficient circuits for computation error-tolerant applications such as image processing and machine learning. Approximate functional units, such as approximate adders and approximate multipliers, have been actively studied for the past decade, and some of these approximate functional units can dynamically change the degree of computation accuracy. The greater their computational inaccuracy, the faster they are. This study examined the high-level synthesis of approximate circuits that take advantage of such accuracy-controllable functional units. Scheduling methods based on integer linear programming (ILP) and list scheduling were proposed. Under resource and time constraints, the proposed method tries to minimize the computation error of the output value by selectively multi-cycling operations. Operations that have a large impact on the output accuracy are multi-cycled to perform exact computing, whereas operations with a small impact on the accuracy are assigned a single cycle for approximate computing. In the experiments, we explored the trade-off between performance, hardware cost, and accuracy to demonstrate the effectiveness of this work.https://www.mdpi.com/2073-431X/11/10/146high-level synthesisapproximate computingschedulingapproximate multiplication
spellingShingle Koyu Ohata
Hiroki Nishikawa
Xiangbo Kong
Hiroyuki Tomiyama
ILP-Based and Heuristic Scheduling Techniques for Variable-Cycle Approximate Functional Units in High-Level Synthesis
Computers
high-level synthesis
approximate computing
scheduling
approximate multiplication
title ILP-Based and Heuristic Scheduling Techniques for Variable-Cycle Approximate Functional Units in High-Level Synthesis
title_full ILP-Based and Heuristic Scheduling Techniques for Variable-Cycle Approximate Functional Units in High-Level Synthesis
title_fullStr ILP-Based and Heuristic Scheduling Techniques for Variable-Cycle Approximate Functional Units in High-Level Synthesis
title_full_unstemmed ILP-Based and Heuristic Scheduling Techniques for Variable-Cycle Approximate Functional Units in High-Level Synthesis
title_short ILP-Based and Heuristic Scheduling Techniques for Variable-Cycle Approximate Functional Units in High-Level Synthesis
title_sort ilp based and heuristic scheduling techniques for variable cycle approximate functional units in high level synthesis
topic high-level synthesis
approximate computing
scheduling
approximate multiplication
url https://www.mdpi.com/2073-431X/11/10/146
work_keys_str_mv AT koyuohata ilpbasedandheuristicschedulingtechniquesforvariablecycleapproximatefunctionalunitsinhighlevelsynthesis
AT hirokinishikawa ilpbasedandheuristicschedulingtechniquesforvariablecycleapproximatefunctionalunitsinhighlevelsynthesis
AT xiangbokong ilpbasedandheuristicschedulingtechniquesforvariablecycleapproximatefunctionalunitsinhighlevelsynthesis
AT hiroyukitomiyama ilpbasedandheuristicschedulingtechniquesforvariablecycleapproximatefunctionalunitsinhighlevelsynthesis