On-Chip Batteries as Distributed Energy Sources in Heterogeneous 2.5D/3D Integrated Circuits

Energy efficiency in digital systems faces challenges due to the constraints imposed by small-scale transistors. Moreover, the growing demand for portable consumer electronics necessitates the use of compact energy sources. To address these challenges, heterogeneous 3D IC technology has emerged as a...

Full description

Bibliographic Details
Main Authors: Peyman Dehghanzadeh, Junjun Huan, Rohan Reddy Kalavakonda, Soumyajit Mandal, Swarup Bhunia
Format: Article
Language:English
Published: IEEE 2023-01-01
Series:IEEE Access
Subjects:
Online Access:https://ieeexplore.ieee.org/document/10220089/
_version_ 1797733182694490112
author Peyman Dehghanzadeh
Junjun Huan
Rohan Reddy Kalavakonda
Soumyajit Mandal
Swarup Bhunia
author_facet Peyman Dehghanzadeh
Junjun Huan
Rohan Reddy Kalavakonda
Soumyajit Mandal
Swarup Bhunia
author_sort Peyman Dehghanzadeh
collection DOAJ
description Energy efficiency in digital systems faces challenges due to the constraints imposed by small-scale transistors. Moreover, the growing demand for portable consumer electronics necessitates the use of compact energy sources. To address these challenges, heterogeneous 3D IC technology has emerged as a promising solution for the former. Regarding the latter, we propose the concept of distributed batteries within a heterogeneous 3D IC. This approach involves utilizing multiple smaller batteries with different specifications among different modules of 3D ICs. This approach optimizes performance and overcomes limitations associated with both 3D ICs and conventional power delivery methods. Distributed batteries play a vital role in effectively managing the heat generated by energy sources and modules within a 3D IC. Furthermore, they contribute to achieving a uniform distribution of heat throughout the entire structure, which ultimately ensures the optimal performance of the batteries and modules. The simulation results indicate a 40 percent enhancement in achieving a more even distribution of generated heat. Additionally, the proposed distributed battery techniques improve power delivery, enhance reliability, and enable optimized voltage regulation while improving efficiency. In addition to the primary benefits, alternative configurations of the proposed approach can offer extra energy storage capacity and act as efficient electromagnetic shields, resulting in an impressive reduction of external electromagnetic noises by 60 dB.
first_indexed 2024-03-12T12:24:27Z
format Article
id doaj.art-272ff49bf15940039c3ad62082bc4d62
institution Directory Open Access Journal
issn 2169-3536
language English
last_indexed 2024-03-12T12:24:27Z
publishDate 2023-01-01
publisher IEEE
record_format Article
series IEEE Access
spelling doaj.art-272ff49bf15940039c3ad62082bc4d622023-08-29T23:00:46ZengIEEEIEEE Access2169-35362023-01-0111898968990610.1109/ACCESS.2023.330559310220089On-Chip Batteries as Distributed Energy Sources in Heterogeneous 2.5D/3D Integrated CircuitsPeyman Dehghanzadeh0https://orcid.org/0000-0002-1171-4370Junjun Huan1https://orcid.org/0000-0001-8921-9663Rohan Reddy Kalavakonda2https://orcid.org/0000-0001-6070-8033Soumyajit Mandal3https://orcid.org/0000-0001-9070-2337Swarup Bhunia4https://orcid.org/0000-0001-6082-6961Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL, USADepartment of Electrical and Computer Engineering, University of Florida, Gainesville, FL, USADepartment of Electrical and Computer Engineering, University of Florida, Gainesville, FL, USAInstrumentation Division, Brookhaven National Laboratory, Upton, NY, USADepartment of Electrical and Computer Engineering, University of Florida, Gainesville, FL, USAEnergy efficiency in digital systems faces challenges due to the constraints imposed by small-scale transistors. Moreover, the growing demand for portable consumer electronics necessitates the use of compact energy sources. To address these challenges, heterogeneous 3D IC technology has emerged as a promising solution for the former. Regarding the latter, we propose the concept of distributed batteries within a heterogeneous 3D IC. This approach involves utilizing multiple smaller batteries with different specifications among different modules of 3D ICs. This approach optimizes performance and overcomes limitations associated with both 3D ICs and conventional power delivery methods. Distributed batteries play a vital role in effectively managing the heat generated by energy sources and modules within a 3D IC. Furthermore, they contribute to achieving a uniform distribution of heat throughout the entire structure, which ultimately ensures the optimal performance of the batteries and modules. The simulation results indicate a 40 percent enhancement in achieving a more even distribution of generated heat. Additionally, the proposed distributed battery techniques improve power delivery, enhance reliability, and enable optimized voltage regulation while improving efficiency. In addition to the primary benefits, alternative configurations of the proposed approach can offer extra energy storage capacity and act as efficient electromagnetic shields, resulting in an impressive reduction of external electromagnetic noises by 60 dB.https://ieeexplore.ieee.org/document/10220089/Heterogeneous 3D ICpoint of loadssolid-state batteriespower delivery network
spellingShingle Peyman Dehghanzadeh
Junjun Huan
Rohan Reddy Kalavakonda
Soumyajit Mandal
Swarup Bhunia
On-Chip Batteries as Distributed Energy Sources in Heterogeneous 2.5D/3D Integrated Circuits
IEEE Access
Heterogeneous 3D IC
point of loads
solid-state batteries
power delivery network
title On-Chip Batteries as Distributed Energy Sources in Heterogeneous 2.5D/3D Integrated Circuits
title_full On-Chip Batteries as Distributed Energy Sources in Heterogeneous 2.5D/3D Integrated Circuits
title_fullStr On-Chip Batteries as Distributed Energy Sources in Heterogeneous 2.5D/3D Integrated Circuits
title_full_unstemmed On-Chip Batteries as Distributed Energy Sources in Heterogeneous 2.5D/3D Integrated Circuits
title_short On-Chip Batteries as Distributed Energy Sources in Heterogeneous 2.5D/3D Integrated Circuits
title_sort on chip batteries as distributed energy sources in heterogeneous 2 5d 3d integrated circuits
topic Heterogeneous 3D IC
point of loads
solid-state batteries
power delivery network
url https://ieeexplore.ieee.org/document/10220089/
work_keys_str_mv AT peymandehghanzadeh onchipbatteriesasdistributedenergysourcesinheterogeneous25d3dintegratedcircuits
AT junjunhuan onchipbatteriesasdistributedenergysourcesinheterogeneous25d3dintegratedcircuits
AT rohanreddykalavakonda onchipbatteriesasdistributedenergysourcesinheterogeneous25d3dintegratedcircuits
AT soumyajitmandal onchipbatteriesasdistributedenergysourcesinheterogeneous25d3dintegratedcircuits
AT swarupbhunia onchipbatteriesasdistributedenergysourcesinheterogeneous25d3dintegratedcircuits