Templatized Fused Vector Floating-Point Dot Product for High-Level Synthesis

Machine-learning accelerators rely on floating-point matrix and vector multiplication kernels. To reduce their cost, customized many-term fused architectures are preferred, which improve the latency, power, and area of the designs. In this work, we design a parameterized fused many-term floating-poi...

Full description

Bibliographic Details
Main Authors: Dionysios Filippas, Chrysostomos Nicopoulos, Giorgos Dimitrakopoulos
Format: Article
Language:English
Published: MDPI AG 2022-10-01
Series:Journal of Low Power Electronics and Applications
Subjects:
Online Access:https://www.mdpi.com/2079-9268/12/4/56
_version_ 1797456919741333504
author Dionysios Filippas
Chrysostomos Nicopoulos
Giorgos Dimitrakopoulos
author_facet Dionysios Filippas
Chrysostomos Nicopoulos
Giorgos Dimitrakopoulos
author_sort Dionysios Filippas
collection DOAJ
description Machine-learning accelerators rely on floating-point matrix and vector multiplication kernels. To reduce their cost, customized many-term fused architectures are preferred, which improve the latency, power, and area of the designs. In this work, we design a parameterized fused many-term floating-point dot product architecture that is ready for high-level synthesis. In this way, we can exploit the efficiency offered by a well-structured fused dot-product architecture and the freedom offered by high-level synthesis in tuning the design’s pipeline to the selected floating-point format and architectural constraints. When compared with optimized dot-product units implemented directly in RTL, the proposed design offers lower-latency implementations under the same clock frequency with marginal area savings. This result holds for a variety of floating-point formats, including standard and reduced-precision representations.
first_indexed 2024-03-09T16:14:46Z
format Article
id doaj.art-4380dfeaa95a42c6bb88960b53e04301
institution Directory Open Access Journal
issn 2079-9268
language English
last_indexed 2024-03-09T16:14:46Z
publishDate 2022-10-01
publisher MDPI AG
record_format Article
series Journal of Low Power Electronics and Applications
spelling doaj.art-4380dfeaa95a42c6bb88960b53e043012023-11-24T15:53:26ZengMDPI AGJournal of Low Power Electronics and Applications2079-92682022-10-011245610.3390/jlpea12040056Templatized Fused Vector Floating-Point Dot Product for High-Level SynthesisDionysios Filippas0Chrysostomos Nicopoulos1Giorgos Dimitrakopoulos2Electrical and Computer Engineering, Democritus University of Thrace, 67100 Xanthi, GreeceElectrical and Computer Engineering, University of Cyprus, 1678 Nicosia, CyprusElectrical and Computer Engineering, Democritus University of Thrace, 67100 Xanthi, GreeceMachine-learning accelerators rely on floating-point matrix and vector multiplication kernels. To reduce their cost, customized many-term fused architectures are preferred, which improve the latency, power, and area of the designs. In this work, we design a parameterized fused many-term floating-point dot product architecture that is ready for high-level synthesis. In this way, we can exploit the efficiency offered by a well-structured fused dot-product architecture and the freedom offered by high-level synthesis in tuning the design’s pipeline to the selected floating-point format and architectural constraints. When compared with optimized dot-product units implemented directly in RTL, the proposed design offers lower-latency implementations under the same clock frequency with marginal area savings. This result holds for a variety of floating-point formats, including standard and reduced-precision representations.https://www.mdpi.com/2079-9268/12/4/56floating point arithmeticvector dot producthigh level synthesis
spellingShingle Dionysios Filippas
Chrysostomos Nicopoulos
Giorgos Dimitrakopoulos
Templatized Fused Vector Floating-Point Dot Product for High-Level Synthesis
Journal of Low Power Electronics and Applications
floating point arithmetic
vector dot product
high level synthesis
title Templatized Fused Vector Floating-Point Dot Product for High-Level Synthesis
title_full Templatized Fused Vector Floating-Point Dot Product for High-Level Synthesis
title_fullStr Templatized Fused Vector Floating-Point Dot Product for High-Level Synthesis
title_full_unstemmed Templatized Fused Vector Floating-Point Dot Product for High-Level Synthesis
title_short Templatized Fused Vector Floating-Point Dot Product for High-Level Synthesis
title_sort templatized fused vector floating point dot product for high level synthesis
topic floating point arithmetic
vector dot product
high level synthesis
url https://www.mdpi.com/2079-9268/12/4/56
work_keys_str_mv AT dionysiosfilippas templatizedfusedvectorfloatingpointdotproductforhighlevelsynthesis
AT chrysostomosnicopoulos templatizedfusedvectorfloatingpointdotproductforhighlevelsynthesis
AT giorgosdimitrakopoulos templatizedfusedvectorfloatingpointdotproductforhighlevelsynthesis