Accurate On-Chip Thermal Peak Detection Based on Heuristic Algorithms and Embedded Temperature Sensors

The reliability and lifetime of systems-on-chip (SoCs) are being seriously threatened by thermal issues. In modern SoCs, dynamic thermal management (DTM) uses the thermal data captured by thermal sensors to constantly track the hot spots and thermal peak locations in real time. Estimating peak tempe...

Full description

Bibliographic Details
Main Authors: Djallel Eddine Touati, Aziz Oukaira, Ahmad Hassan, Mohamed Ali, Ahmed Lakhssassi, Yvon Savaria
Format: Article
Language:English
Published: MDPI AG 2023-07-01
Series:Electronics
Subjects:
Online Access:https://www.mdpi.com/2079-9292/12/13/2978
_version_ 1797591823761276928
author Djallel Eddine Touati
Aziz Oukaira
Ahmad Hassan
Mohamed Ali
Ahmed Lakhssassi
Yvon Savaria
author_facet Djallel Eddine Touati
Aziz Oukaira
Ahmad Hassan
Mohamed Ali
Ahmed Lakhssassi
Yvon Savaria
author_sort Djallel Eddine Touati
collection DOAJ
description The reliability and lifetime of systems-on-chip (SoCs) are being seriously threatened by thermal issues. In modern SoCs, dynamic thermal management (DTM) uses the thermal data captured by thermal sensors to constantly track the hot spots and thermal peak locations in real time. Estimating peak temperatures and the location of these peaks can play a crucial role for DTM systems, as temperature underestimation can cause SoCs to fail and have shortened lifetime. In this paper, a novel sensor allocation algorithm (called thermal gradient tracker, TGT), based on the recursive elimination of regions that likely do not contain any thermal peaks, is proposed for determining regions that potentially contain thermal peaks. Then, based on an empirical source temperature detection technique called GDS (gradient direction sensor), a hybrid algorithm for detecting the position and temperature of thermal peaks is also proposed to increase the accuracy of temperature sensing while trying to keep the number of thermal sensors to a minimum. The essential parameters, H and R, of the GDS technique are determined using an automated search algorithm based on simulated annealing. The proposed algorithm has been applied in a system-on-chip (SoC) in which four heat sources are present, and for temperatures ranging between 45 °C and 115 °C, in a chip area equal to 25 mm<sup>2</sup>. The simulation results show that our proposed sensor allocation scheme can detect on-chip peaks with a maximum error of 1.48 °C and an average maximum error of 0.49 °C by using 15 thermal sensors.
first_indexed 2024-03-11T01:42:51Z
format Article
id doaj.art-46bcefe8149745c1ba7bbd3fabeb6eeb
institution Directory Open Access Journal
issn 2079-9292
language English
last_indexed 2024-03-11T01:42:51Z
publishDate 2023-07-01
publisher MDPI AG
record_format Article
series Electronics
spelling doaj.art-46bcefe8149745c1ba7bbd3fabeb6eeb2023-11-18T16:26:15ZengMDPI AGElectronics2079-92922023-07-011213297810.3390/electronics12132978Accurate On-Chip Thermal Peak Detection Based on Heuristic Algorithms and Embedded Temperature SensorsDjallel Eddine Touati0Aziz Oukaira1Ahmad Hassan2Mohamed Ali3Ahmed Lakhssassi4Yvon Savaria5Department of Engineering and Computer Science, Université du Québec en Outaouais, Gatineau, QC J9A 1L8, CanadaElectrical Engineering Department, Polytechnique Montréal, Montreal, QC H3T 1J4, CanadaElectrical Engineering Department, Polytechnique Montréal, Montreal, QC H3T 1J4, CanadaElectrical Engineering Department, Polytechnique Montréal, Montreal, QC H3T 1J4, CanadaDepartment of Engineering and Computer Science, Université du Québec en Outaouais, Gatineau, QC J9A 1L8, CanadaElectrical Engineering Department, Polytechnique Montréal, Montreal, QC H3T 1J4, CanadaThe reliability and lifetime of systems-on-chip (SoCs) are being seriously threatened by thermal issues. In modern SoCs, dynamic thermal management (DTM) uses the thermal data captured by thermal sensors to constantly track the hot spots and thermal peak locations in real time. Estimating peak temperatures and the location of these peaks can play a crucial role for DTM systems, as temperature underestimation can cause SoCs to fail and have shortened lifetime. In this paper, a novel sensor allocation algorithm (called thermal gradient tracker, TGT), based on the recursive elimination of regions that likely do not contain any thermal peaks, is proposed for determining regions that potentially contain thermal peaks. Then, based on an empirical source temperature detection technique called GDS (gradient direction sensor), a hybrid algorithm for detecting the position and temperature of thermal peaks is also proposed to increase the accuracy of temperature sensing while trying to keep the number of thermal sensors to a minimum. The essential parameters, H and R, of the GDS technique are determined using an automated search algorithm based on simulated annealing. The proposed algorithm has been applied in a system-on-chip (SoC) in which four heat sources are present, and for temperatures ranging between 45 °C and 115 °C, in a chip area equal to 25 mm<sup>2</sup>. The simulation results show that our proposed sensor allocation scheme can detect on-chip peaks with a maximum error of 1.48 °C and an average maximum error of 0.49 °C by using 15 thermal sensors.https://www.mdpi.com/2079-9292/12/13/2978finite element analysis (FEA)finite element method (FEM)GDSheat transfer
spellingShingle Djallel Eddine Touati
Aziz Oukaira
Ahmad Hassan
Mohamed Ali
Ahmed Lakhssassi
Yvon Savaria
Accurate On-Chip Thermal Peak Detection Based on Heuristic Algorithms and Embedded Temperature Sensors
Electronics
finite element analysis (FEA)
finite element method (FEM)
GDS
heat transfer
title Accurate On-Chip Thermal Peak Detection Based on Heuristic Algorithms and Embedded Temperature Sensors
title_full Accurate On-Chip Thermal Peak Detection Based on Heuristic Algorithms and Embedded Temperature Sensors
title_fullStr Accurate On-Chip Thermal Peak Detection Based on Heuristic Algorithms and Embedded Temperature Sensors
title_full_unstemmed Accurate On-Chip Thermal Peak Detection Based on Heuristic Algorithms and Embedded Temperature Sensors
title_short Accurate On-Chip Thermal Peak Detection Based on Heuristic Algorithms and Embedded Temperature Sensors
title_sort accurate on chip thermal peak detection based on heuristic algorithms and embedded temperature sensors
topic finite element analysis (FEA)
finite element method (FEM)
GDS
heat transfer
url https://www.mdpi.com/2079-9292/12/13/2978
work_keys_str_mv AT djalleleddinetouati accurateonchipthermalpeakdetectionbasedonheuristicalgorithmsandembeddedtemperaturesensors
AT azizoukaira accurateonchipthermalpeakdetectionbasedonheuristicalgorithmsandembeddedtemperaturesensors
AT ahmadhassan accurateonchipthermalpeakdetectionbasedonheuristicalgorithmsandembeddedtemperaturesensors
AT mohamedali accurateonchipthermalpeakdetectionbasedonheuristicalgorithmsandembeddedtemperaturesensors
AT ahmedlakhssassi accurateonchipthermalpeakdetectionbasedonheuristicalgorithmsandembeddedtemperaturesensors
AT yvonsavaria accurateonchipthermalpeakdetectionbasedonheuristicalgorithmsandembeddedtemperaturesensors