Latency-Optimized Design of Data Bus Inversion

This paper proposes two new encoders for data bus inversion (DBI), which conventionally uses a majority voter to pick a data representation that minimizes switching activities and thus reduces the corresponding energy consumption. The new encoders employ simpler approximate voters comprising only tw...

Full description

Bibliographic Details
Main Authors: Sung-il Pae, Kon-Woo Kwon
Format: Article
Language:English
Published: MDPI AG 2022-04-01
Series:Electronics
Subjects:
Online Access:https://www.mdpi.com/2079-9292/11/8/1205

Similar Items