Majority Approximators for Low-Latency Data Bus Inversion

Data bus inversion (DBI) is an encoding technique that saves power in data movement in which the majority function plays an essential role. For a latency optimization, the majority function can be replaced by a majority approximator that allows for a small error in majority voting to obtain a faster...

Full description

Bibliographic Details
Main Authors: Sung-il Pae, Kon-Woo Kwon
Format: Article
Language:English
Published: MDPI AG 2022-10-01
Series:Electronics
Subjects:
Online Access:https://www.mdpi.com/2079-9292/11/20/3352
_version_ 1797473625177063424
author Sung-il Pae
Kon-Woo Kwon
author_facet Sung-il Pae
Kon-Woo Kwon
author_sort Sung-il Pae
collection DOAJ
description Data bus inversion (DBI) is an encoding technique that saves power in data movement in which the majority function plays an essential role. For a latency optimization, the majority function can be replaced by a majority approximator that allows for a small error in majority voting to obtain a faster encoder that still saves power. In this work, we propose two systematic approaches for finding high-performance majority approximators. First, we perform an exhaustive search of all possible Boolean functions to find an optimal approximator based on a certain circuit structure comprised of fifteen logic gates. The approximator found by the systematic search can be implemented using compound gates, resulting in a latency-efficient design with only two gate levels. Compared with prior works using a heuristic idea, the proposed circuit runs at the same speed but achieves greater switching activity savings. Second, we propose another majority approximator using the average of three randomly permuted copies of the approximator found in the first approach. We show that the second proposed approximator achieves even higher savings in switching activity as its function is closer to a true majority voter. We report various performance metrics of the newly found majority approximators based on syntheses using a 65 nm process.
first_indexed 2024-03-09T20:18:26Z
format Article
id doaj.art-6e3e5f675d4341c698302f84357f05c2
institution Directory Open Access Journal
issn 2079-9292
language English
last_indexed 2024-03-09T20:18:26Z
publishDate 2022-10-01
publisher MDPI AG
record_format Article
series Electronics
spelling doaj.art-6e3e5f675d4341c698302f84357f05c22023-11-23T23:53:46ZengMDPI AGElectronics2079-92922022-10-011120335210.3390/electronics11203352Majority Approximators for Low-Latency Data Bus InversionSung-il Pae0Kon-Woo Kwon1Department of Computer Engineering, Hongik University, Seoul 04066, KoreaDepartment of Computer Engineering, Hongik University, Seoul 04066, KoreaData bus inversion (DBI) is an encoding technique that saves power in data movement in which the majority function plays an essential role. For a latency optimization, the majority function can be replaced by a majority approximator that allows for a small error in majority voting to obtain a faster encoder that still saves power. In this work, we propose two systematic approaches for finding high-performance majority approximators. First, we perform an exhaustive search of all possible Boolean functions to find an optimal approximator based on a certain circuit structure comprised of fifteen logic gates. The approximator found by the systematic search can be implemented using compound gates, resulting in a latency-efficient design with only two gate levels. Compared with prior works using a heuristic idea, the proposed circuit runs at the same speed but achieves greater switching activity savings. Second, we propose another majority approximator using the average of three randomly permuted copies of the approximator found in the first approach. We show that the second proposed approximator achieves even higher savings in switching activity as its function is closer to a true majority voter. We report various performance metrics of the newly found majority approximators based on syntheses using a 65 nm process.https://www.mdpi.com/2079-9292/11/20/3352approximatordata bus inversionlatencymajority functionswitching activity
spellingShingle Sung-il Pae
Kon-Woo Kwon
Majority Approximators for Low-Latency Data Bus Inversion
Electronics
approximator
data bus inversion
latency
majority function
switching activity
title Majority Approximators for Low-Latency Data Bus Inversion
title_full Majority Approximators for Low-Latency Data Bus Inversion
title_fullStr Majority Approximators for Low-Latency Data Bus Inversion
title_full_unstemmed Majority Approximators for Low-Latency Data Bus Inversion
title_short Majority Approximators for Low-Latency Data Bus Inversion
title_sort majority approximators for low latency data bus inversion
topic approximator
data bus inversion
latency
majority function
switching activity
url https://www.mdpi.com/2079-9292/11/20/3352
work_keys_str_mv AT sungilpae majorityapproximatorsforlowlatencydatabusinversion
AT konwookwon majorityapproximatorsforlowlatencydatabusinversion