A 32-Bit DSP Instruction Pipeline Control Unit Verification Method Based on Instruction Reordering Strategy

The growing complexity and size of integrated circuits has made functional verification a huge challenge. As the control center of integrated circuit hardware design, any design errors in the Instruction Pipeline Control Unit (IPCU) will put the entire chip at significant risk. Verification of the I...

Full description

Bibliographic Details
Main Authors: Huili Wang, Sheng Liu, Ling Zhang
Format: Article
Language:English
Published: MDPI AG 2022-03-01
Series:Symmetry
Subjects:
Online Access:https://www.mdpi.com/2073-8994/14/4/646