Improving Performance and Mitigating Fault Attacks Using Value Prediction

We present Value Prediction for Security (VPsec), a novel hardware-only framework to counter fault attacks in modern microprocessors, while preserving the performance benefits of Value Prediction (VP.) VP is an elegant and hitherto mature microarchitectural performance optimization, which aims to pr...

Full description

Bibliographic Details
Main Authors: Rami Sheikh, Rosario Cammarota
Format: Article
Language:English
Published: MDPI AG 2018-09-01
Series:Cryptography
Subjects:
Online Access:http://www.mdpi.com/2410-387X/2/4/27

Similar Items