CNN Inference Using a Preprocessing Precision Controller and Approximate Multipliers With Various Precisions

This article proposes boosting the multiplication performance for convolutional neural network (CNN) inference using a precision prediction preprocessor which controls various precision approximate multipliers. Previously, utilizing approximate multipliers for CNN inference was proposed to enhance t...

Full description

Bibliographic Details
Main Authors: Issam Hammad, Ling Li, Kamal El-Sankary, W. Martin Snelgrove
Format: Article
Language:English
Published: IEEE 2021-01-01
Series:IEEE Access
Subjects:
Online Access:https://ieeexplore.ieee.org/document/9313992/
_version_ 1811210515895025664
author Issam Hammad
Ling Li
Kamal El-Sankary
W. Martin Snelgrove
author_facet Issam Hammad
Ling Li
Kamal El-Sankary
W. Martin Snelgrove
author_sort Issam Hammad
collection DOAJ
description This article proposes boosting the multiplication performance for convolutional neural network (CNN) inference using a precision prediction preprocessor which controls various precision approximate multipliers. Previously, utilizing approximate multipliers for CNN inference was proposed to enhance the power, speed, and area at a cost of a tolerable drop in the accuracy. Low precision approximate multipliers can achieve massive performance gains; however, utilizing them is not feasible due to the large accuracy loss they cause. To maximize the multiplication performance gains while minimizing the accuracy loss, this article proposes using a tiny two-class precision controller to utilize low and high precision approximate multipliers hybridly. The performance benefits for the proposed concept are presented for multi-core multi-precision architectures and single-core reconfigurable architectures. Additionally, a design for a merged reconfigurable approximate multiplier with two precisions is proposed for utilization in single-core architectures. For performance comparison, several segments-based approximate multipliers with different precisions were synthesized using CMOS 15nm technology. For accuracy evaluation, the concept was simulated on VGG19, Xception, and DenseNet201 using the ImageNetV2 dataset. This article will demonstrate that the proposed concept can achieve significant performance gains with a minimal accuracy loss when compared to designs that utilize exact multipliers or single-precision approximate multipliers.
first_indexed 2024-04-12T04:55:52Z
format Article
id doaj.art-87bdee86c9e24242a64f440cb100e3c3
institution Directory Open Access Journal
issn 2169-3536
language English
last_indexed 2024-04-12T04:55:52Z
publishDate 2021-01-01
publisher IEEE
record_format Article
series IEEE Access
spelling doaj.art-87bdee86c9e24242a64f440cb100e3c32022-12-22T03:47:07ZengIEEEIEEE Access2169-35362021-01-0197220723210.1109/ACCESS.2021.30492999313992CNN Inference Using a Preprocessing Precision Controller and Approximate Multipliers With Various PrecisionsIssam Hammad0https://orcid.org/0000-0002-1895-3438Ling Li1Kamal El-Sankary2https://orcid.org/0000-0001-8104-6913W. Martin Snelgrove3Department of Electrical and Computer Engineering, Microelectronics and VLSI Research Laboratory, Dalhousie University, Halifax, NS, CanadaDepartment of Electrical and Computer Engineering, Microelectronics and VLSI Research Laboratory, Dalhousie University, Halifax, NS, CanadaDepartment of Electrical and Computer Engineering, Microelectronics and VLSI Research Laboratory, Dalhousie University, Halifax, NS, CanadaUntether AI, Toronto, ON, CanadaThis article proposes boosting the multiplication performance for convolutional neural network (CNN) inference using a precision prediction preprocessor which controls various precision approximate multipliers. Previously, utilizing approximate multipliers for CNN inference was proposed to enhance the power, speed, and area at a cost of a tolerable drop in the accuracy. Low precision approximate multipliers can achieve massive performance gains; however, utilizing them is not feasible due to the large accuracy loss they cause. To maximize the multiplication performance gains while minimizing the accuracy loss, this article proposes using a tiny two-class precision controller to utilize low and high precision approximate multipliers hybridly. The performance benefits for the proposed concept are presented for multi-core multi-precision architectures and single-core reconfigurable architectures. Additionally, a design for a merged reconfigurable approximate multiplier with two precisions is proposed for utilization in single-core architectures. For performance comparison, several segments-based approximate multipliers with different precisions were synthesized using CMOS 15nm technology. For accuracy evaluation, the concept was simulated on VGG19, Xception, and DenseNet201 using the ImageNetV2 dataset. This article will demonstrate that the proposed concept can achieve significant performance gains with a minimal accuracy loss when compared to designs that utilize exact multipliers or single-precision approximate multipliers.https://ieeexplore.ieee.org/document/9313992/Approximate computingapproximate multiplierCNN acceleratordeep learningreconfigurable approximate multiplierprecision prediction
spellingShingle Issam Hammad
Ling Li
Kamal El-Sankary
W. Martin Snelgrove
CNN Inference Using a Preprocessing Precision Controller and Approximate Multipliers With Various Precisions
IEEE Access
Approximate computing
approximate multiplier
CNN accelerator
deep learning
reconfigurable approximate multiplier
precision prediction
title CNN Inference Using a Preprocessing Precision Controller and Approximate Multipliers With Various Precisions
title_full CNN Inference Using a Preprocessing Precision Controller and Approximate Multipliers With Various Precisions
title_fullStr CNN Inference Using a Preprocessing Precision Controller and Approximate Multipliers With Various Precisions
title_full_unstemmed CNN Inference Using a Preprocessing Precision Controller and Approximate Multipliers With Various Precisions
title_short CNN Inference Using a Preprocessing Precision Controller and Approximate Multipliers With Various Precisions
title_sort cnn inference using a preprocessing precision controller and approximate multipliers with various precisions
topic Approximate computing
approximate multiplier
CNN accelerator
deep learning
reconfigurable approximate multiplier
precision prediction
url https://ieeexplore.ieee.org/document/9313992/
work_keys_str_mv AT issamhammad cnninferenceusingapreprocessingprecisioncontrollerandapproximatemultiplierswithvariousprecisions
AT lingli cnninferenceusingapreprocessingprecisioncontrollerandapproximatemultiplierswithvariousprecisions
AT kamalelsankary cnninferenceusingapreprocessingprecisioncontrollerandapproximatemultiplierswithvariousprecisions
AT wmartinsnelgrove cnninferenceusingapreprocessingprecisioncontrollerandapproximatemultiplierswithvariousprecisions