Study on the Implementation of a Simple and Effective Memory System for an AI Chip

In this study, a simple and effective memory system required for the implementation of an AI chip is proposed. To implement an AI chip, the use of internal or external memory is an essential factor, because the reading and writing of data in memory occurs a lot. Those memory systems that are current...

Full description

Bibliographic Details
Main Authors: Taepyeong Kim, Sangun Park, Yongbeom Cho
Format: Article
Language:English
Published: MDPI AG 2021-06-01
Series:Electronics
Subjects:
Online Access:https://www.mdpi.com/2079-9292/10/12/1399
_version_ 1797530618267959296
author Taepyeong Kim
Sangun Park
Yongbeom Cho
author_facet Taepyeong Kim
Sangun Park
Yongbeom Cho
author_sort Taepyeong Kim
collection DOAJ
description In this study, a simple and effective memory system required for the implementation of an AI chip is proposed. To implement an AI chip, the use of internal or external memory is an essential factor, because the reading and writing of data in memory occurs a lot. Those memory systems that are currently used are large in design size and complex to implement in order to handle a high speed and a wide bandwidth. Therefore, depending on the AI application, there are cases where the circuit size of the memory system is larger than that of the AI core. In this study, SDRAM, which has a lower performance than the currently used memory system but does not have a problem in operating AI, was used and all circuits were implemented digitally for simple and efficient implementation. In particular, a delay controller was designed to reduce the error due to data skew inside the memory bus to ensure stability in reading and writing data. First of all, it verified the memory system based on the You Only Look Once (YOLO) algorithm in FPGA to confirm that the memory system proposed in AI works efficiently. Based on the proven memory system, we implemented a chip using Samsung Electronics’ 65 nm process and tested it. As a result, we designed a simple and efficient memory system for AI chip implementation and verified it with hardware.
first_indexed 2024-03-10T10:32:32Z
format Article
id doaj.art-ac9a6d74c55648368a22eda9775d3374
institution Directory Open Access Journal
issn 2079-9292
language English
last_indexed 2024-03-10T10:32:32Z
publishDate 2021-06-01
publisher MDPI AG
record_format Article
series Electronics
spelling doaj.art-ac9a6d74c55648368a22eda9775d33742023-11-21T23:34:07ZengMDPI AGElectronics2079-92922021-06-011012139910.3390/electronics10121399Study on the Implementation of a Simple and Effective Memory System for an AI ChipTaepyeong Kim0Sangun Park1Yongbeom Cho2SYNOPSYS, Seoul 13494, KoreaDepartment of Electrical and Electronics Engineering, Konkuk University, Seoul 05029, KoreaDepartment of Electrical and Electronics Engineering, Konkuk University, Seoul 05029, KoreaIn this study, a simple and effective memory system required for the implementation of an AI chip is proposed. To implement an AI chip, the use of internal or external memory is an essential factor, because the reading and writing of data in memory occurs a lot. Those memory systems that are currently used are large in design size and complex to implement in order to handle a high speed and a wide bandwidth. Therefore, depending on the AI application, there are cases where the circuit size of the memory system is larger than that of the AI core. In this study, SDRAM, which has a lower performance than the currently used memory system but does not have a problem in operating AI, was used and all circuits were implemented digitally for simple and efficient implementation. In particular, a delay controller was designed to reduce the error due to data skew inside the memory bus to ensure stability in reading and writing data. First of all, it verified the memory system based on the You Only Look Once (YOLO) algorithm in FPGA to confirm that the memory system proposed in AI works efficiently. Based on the proven memory system, we implemented a chip using Samsung Electronics’ 65 nm process and tested it. As a result, we designed a simple and efficient memory system for AI chip implementation and verified it with hardware.https://www.mdpi.com/2079-9292/10/12/1399memory controllermemory systemAIYOLO
spellingShingle Taepyeong Kim
Sangun Park
Yongbeom Cho
Study on the Implementation of a Simple and Effective Memory System for an AI Chip
Electronics
memory controller
memory system
AI
YOLO
title Study on the Implementation of a Simple and Effective Memory System for an AI Chip
title_full Study on the Implementation of a Simple and Effective Memory System for an AI Chip
title_fullStr Study on the Implementation of a Simple and Effective Memory System for an AI Chip
title_full_unstemmed Study on the Implementation of a Simple and Effective Memory System for an AI Chip
title_short Study on the Implementation of a Simple and Effective Memory System for an AI Chip
title_sort study on the implementation of a simple and effective memory system for an ai chip
topic memory controller
memory system
AI
YOLO
url https://www.mdpi.com/2079-9292/10/12/1399
work_keys_str_mv AT taepyeongkim studyontheimplementationofasimpleandeffectivememorysystemforanaichip
AT sangunpark studyontheimplementationofasimpleandeffectivememorysystemforanaichip
AT yongbeomcho studyontheimplementationofasimpleandeffectivememorysystemforanaichip