Dynamic Temperature Management of Near-Sensor Processing for Energy-Efficient High-Fidelity Imaging

Vision processing on traditional architectures is inefficient due to energy-expensive off-chip data movement. Many researchers advocate pushing processing close to the sensor to substantially reduce data movement. However, continuous near-sensor processing raises sensor temperature, impairing imagin...

Full description

Bibliographic Details
Main Authors: Venkatesh Kodukula, Saad Katrawala, Britton Jones, Carole-Jean Wu, Robert LiKamWa
Format: Article
Language:English
Published: MDPI AG 2021-01-01
Series:Sensors
Subjects:
Online Access:https://www.mdpi.com/1424-8220/21/3/926
_version_ 1797405860019830784
author Venkatesh Kodukula
Saad Katrawala
Britton Jones
Carole-Jean Wu
Robert LiKamWa
author_facet Venkatesh Kodukula
Saad Katrawala
Britton Jones
Carole-Jean Wu
Robert LiKamWa
author_sort Venkatesh Kodukula
collection DOAJ
description Vision processing on traditional architectures is inefficient due to energy-expensive off-chip data movement. Many researchers advocate pushing processing close to the sensor to substantially reduce data movement. However, continuous near-sensor processing raises sensor temperature, impairing imaging/vision fidelity. We characterize the thermal implications of using 3D stacked image sensors with near-sensor vision processing units. Our characterization reveals that near-sensor processing reduces system power but degrades image quality. For reasonable image fidelity, the sensor temperature needs to stay below a threshold, situationally determined by application needs. Fortunately, our characterization also identifies opportunities—unique to the needs of near-sensor processing—to regulate temperature based on dynamic visual task requirements and rapidly increase capture quality on demand. Based on our characterization, we propose and investigate two thermal management strategies—stop-capture-go and seasonal migration—for imaging-aware thermal management. For our evaluated tasks, our policies save up to 53% of system power with negligible performance impact and sustained image fidelity.
first_indexed 2024-03-09T03:16:21Z
format Article
id doaj.art-d015654ecdb34fe7a20a4a27ef8c3c88
institution Directory Open Access Journal
issn 1424-8220
language English
last_indexed 2024-03-09T03:16:21Z
publishDate 2021-01-01
publisher MDPI AG
record_format Article
series Sensors
spelling doaj.art-d015654ecdb34fe7a20a4a27ef8c3c882023-12-03T15:20:33ZengMDPI AGSensors1424-82202021-01-0121392610.3390/s21030926Dynamic Temperature Management of Near-Sensor Processing for Energy-Efficient High-Fidelity ImagingVenkatesh Kodukula0Saad Katrawala1Britton Jones2Carole-Jean Wu3Robert LiKamWa4School of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, AZ 85281, USASchool of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, AZ 85281, USASchool of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, AZ 85281, USASchool of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, AZ 85281, USASchool of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, AZ 85281, USAVision processing on traditional architectures is inefficient due to energy-expensive off-chip data movement. Many researchers advocate pushing processing close to the sensor to substantially reduce data movement. However, continuous near-sensor processing raises sensor temperature, impairing imaging/vision fidelity. We characterize the thermal implications of using 3D stacked image sensors with near-sensor vision processing units. Our characterization reveals that near-sensor processing reduces system power but degrades image quality. For reasonable image fidelity, the sensor temperature needs to stay below a threshold, situationally determined by application needs. Fortunately, our characterization also identifies opportunities—unique to the needs of near-sensor processing—to regulate temperature based on dynamic visual task requirements and rapidly increase capture quality on demand. Based on our characterization, we propose and investigate two thermal management strategies—stop-capture-go and seasonal migration—for imaging-aware thermal management. For our evaluated tasks, our policies save up to 53% of system power with negligible performance impact and sustained image fidelity.https://www.mdpi.com/1424-8220/21/3/926thermal managementimage sensorsfidelitycontinuous mobile vision
spellingShingle Venkatesh Kodukula
Saad Katrawala
Britton Jones
Carole-Jean Wu
Robert LiKamWa
Dynamic Temperature Management of Near-Sensor Processing for Energy-Efficient High-Fidelity Imaging
Sensors
thermal management
image sensors
fidelity
continuous mobile vision
title Dynamic Temperature Management of Near-Sensor Processing for Energy-Efficient High-Fidelity Imaging
title_full Dynamic Temperature Management of Near-Sensor Processing for Energy-Efficient High-Fidelity Imaging
title_fullStr Dynamic Temperature Management of Near-Sensor Processing for Energy-Efficient High-Fidelity Imaging
title_full_unstemmed Dynamic Temperature Management of Near-Sensor Processing for Energy-Efficient High-Fidelity Imaging
title_short Dynamic Temperature Management of Near-Sensor Processing for Energy-Efficient High-Fidelity Imaging
title_sort dynamic temperature management of near sensor processing for energy efficient high fidelity imaging
topic thermal management
image sensors
fidelity
continuous mobile vision
url https://www.mdpi.com/1424-8220/21/3/926
work_keys_str_mv AT venkateshkodukula dynamictemperaturemanagementofnearsensorprocessingforenergyefficienthighfidelityimaging
AT saadkatrawala dynamictemperaturemanagementofnearsensorprocessingforenergyefficienthighfidelityimaging
AT brittonjones dynamictemperaturemanagementofnearsensorprocessingforenergyefficienthighfidelityimaging
AT carolejeanwu dynamictemperaturemanagementofnearsensorprocessingforenergyefficienthighfidelityimaging
AT robertlikamwa dynamictemperaturemanagementofnearsensorprocessingforenergyefficienthighfidelityimaging