DiffTune: Optimizing CPU Simulator Parameters with Learned Differentiable Surrogates

© 2020 IEEE. CPU simulators are useful tools for modeling CPU execution behavior. However, they suffer from inaccuracies due to the cost and complexity of setting their fine-grained parameters, such as the latencies of individual instructions. This complexity arises from the expertise required to de...

Full description

Bibliographic Details
Main Authors: Renda, Alex, Chen, Yishen, Mendis, Charith, Carbin, Michael
Other Authors: Massachusetts Institute of Technology. Computer Science and Artificial Intelligence Laboratory
Format: Article
Language:English
Published: Institute of Electrical and Electronics Engineers (IEEE) 2022
Online Access:https://hdl.handle.net/1721.1/142895

Similar Items