SPECO : stochastic perturbation based clock tree optimization considering temperature uncertainty

Modern computing system applications or workloads can bring significant non-uniform temperature gradient on-chip, and hence can cause significant temperature uncertainty during clock-tree synthesis. Existing designs of clock-trees have to assume a given time-invariant worst-case temperature map but...

Full description

Bibliographic Details
Main Authors: Basir-Kazeruni, Sina, Yu, Hao, Gong, Fang, Hu, Yu, Liu, Chunchen, He, Lei
Other Authors: School of Electrical and Electronic Engineering
Format: Journal Article
Language:English
Published: 2014
Subjects:
Online Access:https://hdl.handle.net/10356/103438
http://hdl.handle.net/10220/19258
_version_ 1811685888604766208
author Basir-Kazeruni, Sina
Yu, Hao
Gong, Fang
Hu, Yu
Liu, Chunchen
He, Lei
author2 School of Electrical and Electronic Engineering
author_facet School of Electrical and Electronic Engineering
Basir-Kazeruni, Sina
Yu, Hao
Gong, Fang
Hu, Yu
Liu, Chunchen
He, Lei
author_sort Basir-Kazeruni, Sina
collection NTU
description Modern computing system applications or workloads can bring significant non-uniform temperature gradient on-chip, and hence can cause significant temperature uncertainty during clock-tree synthesis. Existing designs of clock-trees have to assume a given time-invariant worst-case temperature map but cannot deal with a set of temperature maps under a set of workloads. For robust clock-tree synthesis considering temperature uncertainty, this paper presents a new problem formulation: Stochastic PErturbation based Clock Optimization (SPECO). In SPECO algorithm, one nominal clock-tree is pre-synthesized with determined merging points. The impact from the stochastic temperature variation is modeled by perturbation (or small physical displacement) of merging points to offset the induced skews. Because the implementation cost is reduced but the design complexity is increased, the determination of optimal positions of perturbed merging points requires a computationally efficient algorithm. In this paper, one Non-Monte-Carlo (NMC) method is deployed to generate skew and skew variance by one-time analysis when a set of stochastic temperature maps is already provided. Moreover, one principal temperature–map analysis is developed to reduce the design complexity by clustering correlated merging points based on the subspace of the correlation matrix. As a result, the new merging points can be efficiently determined level by level with both skew and its variance reduced. The experimental results show that our SPECO algorithm can effectively reduce the clock-skew and its variance under a number of workloads with minimized wire-length overhead and computational cost.
first_indexed 2024-10-01T04:51:41Z
format Journal Article
id ntu-10356/103438
institution Nanyang Technological University
language English
last_indexed 2024-10-01T04:51:41Z
publishDate 2014
record_format dspace
spelling ntu-10356/1034382020-03-07T14:00:36Z SPECO : stochastic perturbation based clock tree optimization considering temperature uncertainty Basir-Kazeruni, Sina Yu, Hao Gong, Fang Hu, Yu Liu, Chunchen He, Lei School of Electrical and Electronic Engineering DRNTU::Engineering::Electrical and electronic engineering Modern computing system applications or workloads can bring significant non-uniform temperature gradient on-chip, and hence can cause significant temperature uncertainty during clock-tree synthesis. Existing designs of clock-trees have to assume a given time-invariant worst-case temperature map but cannot deal with a set of temperature maps under a set of workloads. For robust clock-tree synthesis considering temperature uncertainty, this paper presents a new problem formulation: Stochastic PErturbation based Clock Optimization (SPECO). In SPECO algorithm, one nominal clock-tree is pre-synthesized with determined merging points. The impact from the stochastic temperature variation is modeled by perturbation (or small physical displacement) of merging points to offset the induced skews. Because the implementation cost is reduced but the design complexity is increased, the determination of optimal positions of perturbed merging points requires a computationally efficient algorithm. In this paper, one Non-Monte-Carlo (NMC) method is deployed to generate skew and skew variance by one-time analysis when a set of stochastic temperature maps is already provided. Moreover, one principal temperature–map analysis is developed to reduce the design complexity by clustering correlated merging points based on the subspace of the correlation matrix. As a result, the new merging points can be efficiently determined level by level with both skew and its variance reduced. The experimental results show that our SPECO algorithm can effectively reduce the clock-skew and its variance under a number of workloads with minimized wire-length overhead and computational cost. MOE (Min. of Education, S’pore) 2014-04-14T01:32:20Z 2019-12-06T21:12:41Z 2014-04-14T01:32:20Z 2019-12-06T21:12:41Z 2012 2012 Journal Article Basir-Kazeruni, S., Yu, H., Gong, F., Hu, Y., Liu, C., & He, L. (2013). SPECO: Stochastic Perturbation based Clock tree Optimization considering temperature uncertainty. Integration, the VLSI Journal, 46(1), 22-32. 0167-9260 https://hdl.handle.net/10356/103438 http://hdl.handle.net/10220/19258 10.1016/j.vlsi.2012.04.004 167722 en Integration, the VLSI journal © 2012 Elsevier B.V.
spellingShingle DRNTU::Engineering::Electrical and electronic engineering
Basir-Kazeruni, Sina
Yu, Hao
Gong, Fang
Hu, Yu
Liu, Chunchen
He, Lei
SPECO : stochastic perturbation based clock tree optimization considering temperature uncertainty
title SPECO : stochastic perturbation based clock tree optimization considering temperature uncertainty
title_full SPECO : stochastic perturbation based clock tree optimization considering temperature uncertainty
title_fullStr SPECO : stochastic perturbation based clock tree optimization considering temperature uncertainty
title_full_unstemmed SPECO : stochastic perturbation based clock tree optimization considering temperature uncertainty
title_short SPECO : stochastic perturbation based clock tree optimization considering temperature uncertainty
title_sort speco stochastic perturbation based clock tree optimization considering temperature uncertainty
topic DRNTU::Engineering::Electrical and electronic engineering
url https://hdl.handle.net/10356/103438
http://hdl.handle.net/10220/19258
work_keys_str_mv AT basirkazerunisina specostochasticperturbationbasedclocktreeoptimizationconsideringtemperatureuncertainty
AT yuhao specostochasticperturbationbasedclocktreeoptimizationconsideringtemperatureuncertainty
AT gongfang specostochasticperturbationbasedclocktreeoptimizationconsideringtemperatureuncertainty
AT huyu specostochasticperturbationbasedclocktreeoptimizationconsideringtemperatureuncertainty
AT liuchunchen specostochasticperturbationbasedclocktreeoptimizationconsideringtemperatureuncertainty
AT helei specostochasticperturbationbasedclocktreeoptimizationconsideringtemperatureuncertainty