High frequency electrical properties of copper interconnects patterned by resolution enhanced lithography

Signal integrity problems associated with on-chip interconnects have become very significant with increase in device integration and circuit frequency. Copper and low-κ dielectric materials are used to improve electrical performance of interconnects for integrated circuits. At radio and microwave fr...

Full description

Bibliographic Details
Main Author: Rakesh Kumar
Other Authors: N. Balasubramanian
Format: Thesis
Language:English
Published: 2008
Subjects:
Online Access:https://hdl.handle.net/10356/13115
Description
Summary:Signal integrity problems associated with on-chip interconnects have become very significant with increase in device integration and circuit frequency. Copper and low-κ dielectric materials are used to improve electrical performance of interconnects for integrated circuits. At radio and microwave frequencies, the signal propagation behaviour of on-chip interconnects are complex to analyze and predict especially for lossy low-resistivity silicon substrates. Interconnects behave as transmission lines, and signal delay, transients, crosstalk and power dissipation become critical. In addition, integration of mesoporous dielectrics and scaling of feature size has made the patterning and processing of damascene interconnects far more challenging than initially anticipated. The fabrication, high-frequency characterization and modeling of copper interconnects are the objectives of this thesis. This work is divided into two parts. First, the fabrication of deep submicron (100-250 nm) copper interconnects with porous ultra low-κ dielectrics in a damascene process is addressed. Resolution enhanced optical lithography is used to pattern copper interconnects in a step and scan system with a 248 nm excimer laser source. A mask with alternating phase shifted sub-resolution assist features is designed to enhance the resolution and process latitude of the lithography process. The spurious reflections associated with deep ultraviolet exposure of transparent film stacks and resist poisoning effects are systematically studied and solutions demonstrated. In addition, the effects of plasma processing on the structure, composition and electrical properties of an ultra low-κ dielectric and the microstructure of barrier layer deposited on it are investigated. The second part investigates the high frequency electrical properties of a large set of test structures corresponding to local, intermediate and global interconnects by S-parameters measurements up to 40 GHz. The signal propagation mode is found to be slow wave at low frequencies and quasi transverse electromagnetic wave at high frequencies consistent with earlier theoretical predictions. A wide band equivalent circuit with frequency independent lumped elements is used for interconnect modeling by standard circuit simulators. The model predictions are experimentally validated in both the frequency and time domains. It is shown that the wide-band model accurately represents the dispersive behaviour of copper interconnects and can predict both propagation delay and signal rise time. Finally, a novel modeling methodology based on measured S-parameters is developed for coupled interconnects. Using this, the benefits of ultra low-κ dielectrics for crosstalk reduction are experimentally verified.