Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire

The widely applied Advanced Encryption Standard (AES) encryption algorithm is critical in secure big-data storage. Data oriented applications have imposed high throughput and low power, i.e., energy efficiency (J/bit), requirements when applying AES encryption. This paper explores an in-memory AES e...

Full description

Bibliographic Details
Main Authors: Wang, Yuhao, Yu, Hao, Sylvester, Dennis, Kong, Pingfan
Other Authors: School of Electrical and Electronic Engineering
Format: Conference Paper
Language:English
Published: 2015
Subjects:
Online Access:https://hdl.handle.net/10356/79431
http://hdl.handle.net/10220/25172
_version_ 1811684795444363264
author Wang, Yuhao
Yu, Hao
Sylvester, Dennis
Kong, Pingfan
author2 School of Electrical and Electronic Engineering
author_facet School of Electrical and Electronic Engineering
Wang, Yuhao
Yu, Hao
Sylvester, Dennis
Kong, Pingfan
author_sort Wang, Yuhao
collection NTU
description The widely applied Advanced Encryption Standard (AES) encryption algorithm is critical in secure big-data storage. Data oriented applications have imposed high throughput and low power, i.e., energy efficiency (J/bit), requirements when applying AES encryption. This paper explores an in-memory AES encryption using the newly introduced domain-wall nanowire. We show that all AES operations can be fully mapped to a logic-in-memory architecture by non-volatile domain-wall nanowire, called DW-AES. The experimental results show that DW-AES can achieve the best energy efficiency of 24 pJ/bit, which is 9X and 6.5X times better than CMOS ASIC and memristive CMOL implementations, respectively. Under the same area budget, the proposed DW-AES exhibits 6.4X higher throughput and 29% power saving compared to a CMOS ASIC implementation; 1.7X higher throughput and 74% power reduction compared to a memristive CMOL implementation.
first_indexed 2024-10-01T04:34:18Z
format Conference Paper
id ntu-10356/79431
institution Nanyang Technological University
language English
last_indexed 2024-10-01T04:34:18Z
publishDate 2015
record_format dspace
spelling ntu-10356/794312020-03-07T13:24:43Z Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire Wang, Yuhao Yu, Hao Sylvester, Dennis Kong, Pingfan School of Electrical and Electronic Engineering Design, Automation and Test in Europe Conference and Exhibition (17th : 2014 : Dresden) DRNTU::Engineering::Electrical and electronic engineering::Nanoelectronics The widely applied Advanced Encryption Standard (AES) encryption algorithm is critical in secure big-data storage. Data oriented applications have imposed high throughput and low power, i.e., energy efficiency (J/bit), requirements when applying AES encryption. This paper explores an in-memory AES encryption using the newly introduced domain-wall nanowire. We show that all AES operations can be fully mapped to a logic-in-memory architecture by non-volatile domain-wall nanowire, called DW-AES. The experimental results show that DW-AES can achieve the best energy efficiency of 24 pJ/bit, which is 9X and 6.5X times better than CMOS ASIC and memristive CMOL implementations, respectively. Under the same area budget, the proposed DW-AES exhibits 6.4X higher throughput and 29% power saving compared to a CMOS ASIC implementation; 1.7X higher throughput and 74% power reduction compared to a memristive CMOL implementation. Accepted version 2015-03-04T07:42:43Z 2019-12-06T13:25:06Z 2015-03-04T07:42:43Z 2019-12-06T13:25:06Z 2014 2014 Conference Paper Wang, Y., Yu, H., Sylvester, D., & Kong, P. (2014). Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire. Design, Automation and Test in Europe Conference and Exhibition, 1-4. https://hdl.handle.net/10356/79431 http://hdl.handle.net/10220/25172 10.7873/DATE.2014.196 en © 2014 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes, creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works. The published version is available at: [http://dx.doi.org/10.7873/DATE.2014.196]. 4 p. application/pdf
spellingShingle DRNTU::Engineering::Electrical and electronic engineering::Nanoelectronics
Wang, Yuhao
Yu, Hao
Sylvester, Dennis
Kong, Pingfan
Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire
title Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire
title_full Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire
title_fullStr Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire
title_full_unstemmed Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire
title_short Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire
title_sort energy efficient in memory aes encryption based on nonvolatile domain wall nanowire
topic DRNTU::Engineering::Electrical and electronic engineering::Nanoelectronics
url https://hdl.handle.net/10356/79431
http://hdl.handle.net/10220/25172
work_keys_str_mv AT wangyuhao energyefficientinmemoryaesencryptionbasedonnonvolatiledomainwallnanowire
AT yuhao energyefficientinmemoryaesencryptionbasedonnonvolatiledomainwallnanowire
AT sylvesterdennis energyefficientinmemoryaesencryptionbasedonnonvolatiledomainwallnanowire
AT kongpingfan energyefficientinmemoryaesencryptionbasedonnonvolatiledomainwallnanowire