Showing 321 - 336 results of 336 for search '"Physical Unclonable Function"', query time: 0.10s Refine Results
  1. 321

    Runtime Analysis of Area-Efficient Uniform RO-PUF for Uniqueness and Reliability Balancing by Zulfikar Zulfikar, Norhayati Soin, Sharifah Fatmadiana Wan Muhamad Hatta, Mohamad Sofian Abu Talip

    Published 2021-10-01
    “…The main issue of ring oscillator physical unclonable functions (RO-PUF) is the existence of unstable ROs in response to environmental variations. …”
    Get full text
    Article
  2. 322

    Energy-Efficient Security Solutions for Next-Generation Embedded Systems by Maji, Saurav

    Published 2023
    “…The solution involves the use of silk tags attached to individual seeds, assigning them unique identities using Physical Unclonable Functions. Although each application comes with unique challenges, all solutions prioritize security and are tailored specifically for resource-constrained embedded systems with minimal resource overheads. …”
    Get full text
    Thesis
  3. 323
  4. 324

    Low voltage low power CMOS circuits for IoT applications by Liu, Yue

    Published 2019
    “…Innovative solutions like physically unclonable function (PUF) have been proposed to enhance security features of devices. …”
    Get full text
    Final Year Project (FYP)
  5. 325

    A Low-Power, 65 nm 24.6-to-30.1 GHz Trusted LC Voltage-Controlled Oscillator Achieving 191.7 dBc/Hz FoM at 1 MHz by Abdullah Kurtoglu, Amir H. M. Shirazi, Shahriar Mirabbasi, Hossein Miri Lavasani

    Published 2024-02-01
    “…This work presents a novel trusted LC voltage-controlled oscillator (VCO) with an embedded compact analog Physically Unclonable Function (PUF) used for authentication. …”
    Get full text
    Article
  6. 326

    Securing Synchrophasors Using Data Provenance in the Quantum Era by Kashif Javed, Mansoor Ali Khan, Mukhtar Ullah, Muhammad Naveed Aman, Biplab Sikdar

    Published 2024-01-01
    “…The proposed protocol is based on Physically Unclonable Functions (PUFs) and harnesses the principles of quantum unreality and uncertainty. …”
    Get full text
    Article
  7. 327

    PUF Modeling Attacks on Simulated and Silicon Data by Ruhrmair, Ulrich, Solter, Jan, Sehnke, Frank, Xu, Xiaolin, Mahmoud, Ahmed, Stoyanova, Vera, Dror, Gideon, Schmidhuber, Jurgen, Burleson, Wayne, Devadas, Srinivas

    Published 2014
    “…We discuss numerical modeling attacks on several proposed strong physical unclonable functions (PUFs). Given a set of challenge-response pairs (CRPs) of a Strong PUF, the goal of our attacks is to construct a computer algorithm which behaves indistinguishably from the original PUF on almost all CRPs. …”
    Get full text
    Get full text
    Article
  8. 328

    Visible and Near-IR Nano-Optical Components and Systems in CMOS by Kaushik Sengupta, Lingyu Hong, Chengjie Zhu, Xuyang Lu

    Published 2021-01-01
    “…Realized in 65-nm CMOS, these demonstrate for the first time: fully integrated multiplexed fluorescence based biosensors with integrated filters, optical spectrometer, and CMOS optical physically unclonable function (PUF). These examples cover a range of optical processing elements in silicon, from deep sub-wavelength nano-optics to diffractive structures. …”
    Get full text
    Article
  9. 329

    Memristor-based PUF for lightweight cryptographic randomness by Hebatallah M. Ibrahim, Heba Abunahla, Baker Mohammad, Hoda AlKhzaimi

    Published 2022-05-01
    “…Abstract Physical unclonable functions (PUF) are cryptographic primitives employed to generate true and intrinsic randomness which is critical for cryptographic and secure applications. …”
    Get full text
    Article
  10. 330

    Template-directed Assembly of Silk in Advanced Materials for Food Security by Sun, Hui

    Published 2023
    “…In particular, by integrating silk polymorph design with physical unclonable functions (PUFs), a cryptographic protocol based on PUF tags made of silk microparticles is developed for authentication of agricultural goods (e.g. seeds). …”
    Get full text
    Get full text
    Thesis
  11. 331

    Ensuring of digital rights management of FPGA based implementation of artificial intelligence as a service by Artem Perepelitsyn

    Published 2023-12-01
    “…The proposed method of processing of data from the implementation of physical unclonable functions (PUF) in FPGA to ensure digital rights management is a principal new approach. …”
    Get full text
    Article
  12. 332

    A large scale comprehensive evaluation of single-slice ring oscillator and PicoPUF bit cells on 28nm Xilinx FPGAs by Gu, Chongyan, Chang, Chip-Hong, Liu, Weiqiang, Hanley, Neil, Miskelly, Jack, O’Neill, Máire

    Published 2021
    “…Many field programmable gate array (FPGA)-based security primitives have been developed, e.g., physical unclonable functions (PUFs) and true random number generator (TRNG). …”
    Get full text
    Conference Paper
  13. 333

    Dickson polynomial-based secure group authentication scheme for Internet of Things by Salman Ali Syed, Selvakumar Manickam, Mueen Uddin, Hamed Alsufyani, Mohammad Shorfuzzaman, Shitharth Selvarajan, Gouse Baig Mohammed

    Published 2024-02-01
    “…In order to accomplish this, we have implemented a hardware-based physically unclonable function. Implementation has been carried using python language and deployed and tested on Blockchain using Ethereum Goerli’s Testnet framework. …”
    Get full text
    Article
  14. 334

    Physical Security for Fleet Management Systems by Emad Hamadaqa, Ayoub Mars, Wael Adi

    Published 2019-12-01
    “…Existing automated fleet management systems are basically vulnerable to physical replacement attacks when managed by mass-produced electronic identities. Analog Physical Unclonable Functions (PUFs) failed to serve as unclonable electronic identities due to being costly, unstable and inefficient for such mass-usage. …”
    Get full text
    Article
  15. 335

    A large-scale comprehensive evaluation of single-slice ring oscillator and PicoPUF bit cells on 28-nm Xilinx FPGAs by Gu, Chongyan, Chang, Chip-Hong, Liu, Weiqiang, Hanley, Neil, Miskelly, Jack, O’Neill, Máire

    Published 2021
    “…Lightweight implementation of security primitives, e.g., physical unclonable functions (PUFs) and true random number generator, in field programmable gate array (FPGA) is crucial replacement of the conventional decryption key stored in battery-backed random access memory or E-Fuses for the protection of field reconfigurable assets. …”
    Get full text
    Journal Article
  16. 336

    Arbiter PUF based FPGA chip identification and authentication methods with enhanced reliability and modeling attack resistance by Zalivaka, Siarhei S.

    Published 2018
    “…One effective way to provide secure chip authentication with low overhead is the Physical Unclonable Functions (PUF). They are widely used as a cryptographic primitive to avoid the need for storing the key or secret that can be used to retrieve the device key in the non-volatile memory. …”
    Get full text
    Get full text
    Thesis