Selective Code Duplication for Soft Error Protection on VLIW Architectures

Very Long Instruction Word, or VLIW, architectures have received much attention in specific-purpose applications such as scientific computation, digital signal processing, and even safety-critical systems. Several compilation techniques for VLIW architectures have been proposed in order to improve t...

Full description

Bibliographic Details
Main Authors: Yohan Ko, Soohwan Kim, Hyunchoong Kim, Kyoungwoo Lee
Format: Article
Language:English
Published: MDPI AG 2021-07-01
Series:Electronics
Subjects:
Online Access:https://www.mdpi.com/2079-9292/10/15/1835