Silicon Wafer Gettering Design for Advanced CMOS Image Sensors Using Hydrocarbon Molecular Ion Implantation: A Review

We have developed silicon epitaxial wafers with high gettering capability using hydrocarbon molecular ion implantation for advanced Complementary Metal-Oxide-Semiconductor (CMOS) image sensors. These wafers have three unique silicon wafer characteristics for improvement of CMOS device electrical par...

Full description

Bibliographic Details
Main Authors: Kazunari Kurita, Takeshi Kadono, Ryosuke Okuyama, Ayumi Onaka-Masada, Satoshi Shigematsu, Ryo Hirose, Koji Kobayashi, Akihiro Suzuki, Hidehiko Okuda, Yoshihiro Koga
Format: Article
Language:English
Published: IEEE 2022-01-01
Series:IEEE Journal of the Electron Devices Society
Subjects:
Online Access:https://ieeexplore.ieee.org/document/9651517/
_version_ 1811211426739519488
author Kazunari Kurita
Takeshi Kadono
Ryosuke Okuyama
Ayumi Onaka-Masada
Satoshi Shigematsu
Ryo Hirose
Koji Kobayashi
Akihiro Suzuki
Hidehiko Okuda
Yoshihiro Koga
author_facet Kazunari Kurita
Takeshi Kadono
Ryosuke Okuyama
Ayumi Onaka-Masada
Satoshi Shigematsu
Ryo Hirose
Koji Kobayashi
Akihiro Suzuki
Hidehiko Okuda
Yoshihiro Koga
author_sort Kazunari Kurita
collection DOAJ
description We have developed silicon epitaxial wafers with high gettering capability using hydrocarbon molecular ion implantation for advanced Complementary Metal-Oxide-Semiconductor (CMOS) image sensors. These wafers have three unique silicon wafer characteristics for improvement of CMOS device electrical parameter such as high metallic impurity gettering, oxygen out-diffusion barrier effects from Czochralski silicon (CZ) substrate and hydrogen passivation effect for interface state defect at Si/SiO<sub>2</sub>. We demonstrate that double epitaxial growth silicon wafers have an extremely high gettering capability during CMOS device fabrication process. We also found that gettering capability strongly dependence on oxygen impurity amount in hydrocarbon molecular ion implantation projection range. We believe that this novel silicon wafer can drastically contribute to the improvement of CMOS image sensor device performance such as white spot defect and dark current.
first_indexed 2024-04-12T05:12:50Z
format Article
id doaj.art-b1999326c971427aaf04d071dcdfa72e
institution Directory Open Access Journal
issn 2168-6734
language English
last_indexed 2024-04-12T05:12:50Z
publishDate 2022-01-01
publisher IEEE
record_format Article
series IEEE Journal of the Electron Devices Society
spelling doaj.art-b1999326c971427aaf04d071dcdfa72e2022-12-22T03:46:42ZengIEEEIEEE Journal of the Electron Devices Society2168-67342022-01-011072072710.1109/JEDS.2021.31356569651517Silicon Wafer Gettering Design for Advanced CMOS Image Sensors Using Hydrocarbon Molecular Ion Implantation: A ReviewKazunari Kurita0https://orcid.org/0000-0002-1619-0078Takeshi Kadono1https://orcid.org/0000-0002-2600-6633Ryosuke Okuyama2https://orcid.org/0000-0002-0137-0914Ayumi Onaka-Masada3https://orcid.org/0000-0003-3610-6504Satoshi Shigematsu4https://orcid.org/0000-0001-6083-1664Ryo Hirose5Koji Kobayashi6Akihiro Suzuki7https://orcid.org/0000-0002-1637-0407Hidehiko Okuda8https://orcid.org/0000-0002-3155-6074Yoshihiro Koga9https://orcid.org/0000-0003-1449-7946Technology Division, SUMCO Corporation, Imari, JapanAdvanced Evaluation &#x0026; Technology Section, SUMCO Corporation, Imari, JapanAdvanced Evaluation &#x0026; Technology Section, SUMCO Corporation, Imari, JapanAdvanced Evaluation &#x0026; Technology Section, SUMCO Corporation, Imari, JapanAdvanced Evaluation &#x0026; Technology Section, SUMCO Corporation, Imari, JapanAdvanced Evaluation &#x0026; Technology Section, SUMCO Corporation, Imari, JapanTechnology Division, SUMCO Corporation, Imari, JapanTechnology Division, SUMCO Corporation, Imari, JapanAdvanced Evaluation &#x0026; Technology Section, SUMCO Corporation, Imari, JapanAdvanced Evaluation &#x0026; Technology Section, SUMCO Corporation, Imari, JapanWe have developed silicon epitaxial wafers with high gettering capability using hydrocarbon molecular ion implantation for advanced Complementary Metal-Oxide-Semiconductor (CMOS) image sensors. These wafers have three unique silicon wafer characteristics for improvement of CMOS device electrical parameter such as high metallic impurity gettering, oxygen out-diffusion barrier effects from Czochralski silicon (CZ) substrate and hydrogen passivation effect for interface state defect at Si/SiO<sub>2</sub>. We demonstrate that double epitaxial growth silicon wafers have an extremely high gettering capability during CMOS device fabrication process. We also found that gettering capability strongly dependence on oxygen impurity amount in hydrocarbon molecular ion implantation projection range. We believe that this novel silicon wafer can drastically contribute to the improvement of CMOS image sensor device performance such as white spot defect and dark current.https://ieeexplore.ieee.org/document/9651517/CMOS image sensorsgetteringdark current spectroscopyhydrocarbon molecular ion implantationsilicon waferswhite spot defect
spellingShingle Kazunari Kurita
Takeshi Kadono
Ryosuke Okuyama
Ayumi Onaka-Masada
Satoshi Shigematsu
Ryo Hirose
Koji Kobayashi
Akihiro Suzuki
Hidehiko Okuda
Yoshihiro Koga
Silicon Wafer Gettering Design for Advanced CMOS Image Sensors Using Hydrocarbon Molecular Ion Implantation: A Review
IEEE Journal of the Electron Devices Society
CMOS image sensors
gettering
dark current spectroscopy
hydrocarbon molecular ion implantation
silicon wafers
white spot defect
title Silicon Wafer Gettering Design for Advanced CMOS Image Sensors Using Hydrocarbon Molecular Ion Implantation: A Review
title_full Silicon Wafer Gettering Design for Advanced CMOS Image Sensors Using Hydrocarbon Molecular Ion Implantation: A Review
title_fullStr Silicon Wafer Gettering Design for Advanced CMOS Image Sensors Using Hydrocarbon Molecular Ion Implantation: A Review
title_full_unstemmed Silicon Wafer Gettering Design for Advanced CMOS Image Sensors Using Hydrocarbon Molecular Ion Implantation: A Review
title_short Silicon Wafer Gettering Design for Advanced CMOS Image Sensors Using Hydrocarbon Molecular Ion Implantation: A Review
title_sort silicon wafer gettering design for advanced cmos image sensors using hydrocarbon molecular ion implantation a review
topic CMOS image sensors
gettering
dark current spectroscopy
hydrocarbon molecular ion implantation
silicon wafers
white spot defect
url https://ieeexplore.ieee.org/document/9651517/
work_keys_str_mv AT kazunarikurita siliconwafergetteringdesignforadvancedcmosimagesensorsusinghydrocarbonmolecularionimplantationareview
AT takeshikadono siliconwafergetteringdesignforadvancedcmosimagesensorsusinghydrocarbonmolecularionimplantationareview
AT ryosukeokuyama siliconwafergetteringdesignforadvancedcmosimagesensorsusinghydrocarbonmolecularionimplantationareview
AT ayumionakamasada siliconwafergetteringdesignforadvancedcmosimagesensorsusinghydrocarbonmolecularionimplantationareview
AT satoshishigematsu siliconwafergetteringdesignforadvancedcmosimagesensorsusinghydrocarbonmolecularionimplantationareview
AT ryohirose siliconwafergetteringdesignforadvancedcmosimagesensorsusinghydrocarbonmolecularionimplantationareview
AT kojikobayashi siliconwafergetteringdesignforadvancedcmosimagesensorsusinghydrocarbonmolecularionimplantationareview
AT akihirosuzuki siliconwafergetteringdesignforadvancedcmosimagesensorsusinghydrocarbonmolecularionimplantationareview
AT hidehikookuda siliconwafergetteringdesignforadvancedcmosimagesensorsusinghydrocarbonmolecularionimplantationareview
AT yoshihirokoga siliconwafergetteringdesignforadvancedcmosimagesensorsusinghydrocarbonmolecularionimplantationareview