Exploration of Sub-VT and Near-VT 2T Gain-Cell Memories for Ultra-Low Power Applications under Technology Scaling

Ultra-low power applications often require several kb of embedded memory and are typically operated at the lowest possible operating voltage (VDD) to minimize both dynamic and static power consumption. Embedded memories can easily dominate the overall silicon area of these systems, and their leakage...

Full description

Bibliographic Details
Main Authors: Alexander Fish, Andreas Burg, Adam Teman, Robert Giterman, Pascal Meinerzhagen
Format: Article
Language:English
Published: MDPI AG 2013-04-01
Series:Journal of Low Power Electronics and Applications
Subjects:
Online Access:http://www.mdpi.com/2079-9268/3/2/54
_version_ 1798004066702327808
author Alexander Fish
Andreas Burg
Adam Teman
Robert Giterman
Pascal Meinerzhagen
author_facet Alexander Fish
Andreas Burg
Adam Teman
Robert Giterman
Pascal Meinerzhagen
author_sort Alexander Fish
collection DOAJ
description Ultra-low power applications often require several kb of embedded memory and are typically operated at the lowest possible operating voltage (VDD) to minimize both dynamic and static power consumption. Embedded memories can easily dominate the overall silicon area of these systems, and their leakage currents often dominate the total power consumption. Gain-cell based embedded DRAM arrays provide a high-density, low-leakage alternative to SRAM for such systems; however, they are typically designed for operation at nominal or only slightly scaled supply voltages. This paper presents a gain-cell array which, for the first time, targets aggressively scaled supply voltages, down into the subthreshold (sub-VT) domain. Minimum VDD design of gain-cell arrays is evaluated in light of technology scaling, considering both a mature 0.18 μm CMOS node, as well as a scaled 40 nm node. We first analyze the trade-offs that characterize the bitcell design in both nodes, arriving at a best-practice design methodology for both mature and scaled technologies. Following this analysis, we propose full gain-cell arrays for each of the nodes, operated at a minimum VDD. We find that an 0.18 μm gain-cell array can be robustly operated at a sub-VT supply voltage of 400mV, providing read/write availability over 99% of the time, despite refresh cycles. This is demonstrated on a 2 kb array, operated at 1 MHz, exhibiting full functionality under parametric variations. As opposed to sub-VT operation at the mature node, we find that the scaled 40 nm node requires a near-threshold 600mV supply to achieve at least 97% read/write availability due to higher leakage currents that limit the bitcell’s retention time. Monte Carlo simulations show that a 600mV 2 kb 40 nm gain-cell array is fully functional at frequencies higher than 50 MHz.
first_indexed 2024-04-11T12:17:38Z
format Article
id doaj.art-e256f175cbd74ae78d2cedf4a20d1024
institution Directory Open Access Journal
issn 2079-9268
language English
last_indexed 2024-04-11T12:17:38Z
publishDate 2013-04-01
publisher MDPI AG
record_format Article
series Journal of Low Power Electronics and Applications
spelling doaj.art-e256f175cbd74ae78d2cedf4a20d10242022-12-22T04:24:12ZengMDPI AGJournal of Low Power Electronics and Applications2079-92682013-04-0132547210.3390/jlpea3020054Exploration of Sub-VT and Near-VT 2T Gain-Cell Memories for Ultra-Low Power Applications under Technology ScalingAlexander FishAndreas BurgAdam TemanRobert GitermanPascal MeinerzhagenUltra-low power applications often require several kb of embedded memory and are typically operated at the lowest possible operating voltage (VDD) to minimize both dynamic and static power consumption. Embedded memories can easily dominate the overall silicon area of these systems, and their leakage currents often dominate the total power consumption. Gain-cell based embedded DRAM arrays provide a high-density, low-leakage alternative to SRAM for such systems; however, they are typically designed for operation at nominal or only slightly scaled supply voltages. This paper presents a gain-cell array which, for the first time, targets aggressively scaled supply voltages, down into the subthreshold (sub-VT) domain. Minimum VDD design of gain-cell arrays is evaluated in light of technology scaling, considering both a mature 0.18 μm CMOS node, as well as a scaled 40 nm node. We first analyze the trade-offs that characterize the bitcell design in both nodes, arriving at a best-practice design methodology for both mature and scaled technologies. Following this analysis, we propose full gain-cell arrays for each of the nodes, operated at a minimum VDD. We find that an 0.18 μm gain-cell array can be robustly operated at a sub-VT supply voltage of 400mV, providing read/write availability over 99% of the time, despite refresh cycles. This is demonstrated on a 2 kb array, operated at 1 MHz, exhibiting full functionality under parametric variations. As opposed to sub-VT operation at the mature node, we find that the scaled 40 nm node requires a near-threshold 600mV supply to achieve at least 97% read/write availability due to higher leakage currents that limit the bitcell’s retention time. Monte Carlo simulations show that a 600mV 2 kb 40 nm gain-cell array is fully functional at frequencies higher than 50 MHz.http://www.mdpi.com/2079-9268/3/2/54embedded memorygain cellenergy efficiencysubthreshold operationnear-threshold operationretention timeaccess speedtechnology scaling
spellingShingle Alexander Fish
Andreas Burg
Adam Teman
Robert Giterman
Pascal Meinerzhagen
Exploration of Sub-VT and Near-VT 2T Gain-Cell Memories for Ultra-Low Power Applications under Technology Scaling
Journal of Low Power Electronics and Applications
embedded memory
gain cell
energy efficiency
subthreshold operation
near-threshold operation
retention time
access speed
technology scaling
title Exploration of Sub-VT and Near-VT 2T Gain-Cell Memories for Ultra-Low Power Applications under Technology Scaling
title_full Exploration of Sub-VT and Near-VT 2T Gain-Cell Memories for Ultra-Low Power Applications under Technology Scaling
title_fullStr Exploration of Sub-VT and Near-VT 2T Gain-Cell Memories for Ultra-Low Power Applications under Technology Scaling
title_full_unstemmed Exploration of Sub-VT and Near-VT 2T Gain-Cell Memories for Ultra-Low Power Applications under Technology Scaling
title_short Exploration of Sub-VT and Near-VT 2T Gain-Cell Memories for Ultra-Low Power Applications under Technology Scaling
title_sort exploration of sub vt and near vt 2t gain cell memories for ultra low power applications under technology scaling
topic embedded memory
gain cell
energy efficiency
subthreshold operation
near-threshold operation
retention time
access speed
technology scaling
url http://www.mdpi.com/2079-9268/3/2/54
work_keys_str_mv AT alexanderfish explorationofsubvtandnearvt2tgaincellmemoriesforultralowpowerapplicationsundertechnologyscaling
AT andreasburg explorationofsubvtandnearvt2tgaincellmemoriesforultralowpowerapplicationsundertechnologyscaling
AT adamteman explorationofsubvtandnearvt2tgaincellmemoriesforultralowpowerapplicationsundertechnologyscaling
AT robertgiterman explorationofsubvtandnearvt2tgaincellmemoriesforultralowpowerapplicationsundertechnologyscaling
AT pascalmeinerzhagen explorationofsubvtandnearvt2tgaincellmemoriesforultralowpowerapplicationsundertechnologyscaling