Two-Phase Vapor Chambers with Micropillar Evaporators: A New Approach to Remove Heat from Future High-Performance Chips

© 2019 IEEE High power densities lead to thermal hot spots in modern processors. These power densities are expected to reach kW/cm2 scale in future high-performance chips and this increase may significantly degrade performance and reliability, if not handled efficiently. Using two-phase vapor chambe...

Full description

Bibliographic Details
Main Authors: Yuan, Zihao, Vaartstra, Geoffrey, Shukla, Prachi, Said, Mostafa, Reda, Sherief, Wang, Evelyn, Coskun, Ayse K.
Other Authors: Massachusetts Institute of Technology. Department of Mechanical Engineering
Format: Article
Language:English
Published: IEEE 2021
Online Access:https://hdl.handle.net/1721.1/137890
_version_ 1811089244782854144
author Yuan, Zihao
Vaartstra, Geoffrey
Shukla, Prachi
Said, Mostafa
Reda, Sherief
Wang, Evelyn
Coskun, Ayse K.
author2 Massachusetts Institute of Technology. Department of Mechanical Engineering
author_facet Massachusetts Institute of Technology. Department of Mechanical Engineering
Yuan, Zihao
Vaartstra, Geoffrey
Shukla, Prachi
Said, Mostafa
Reda, Sherief
Wang, Evelyn
Coskun, Ayse K.
author_sort Yuan, Zihao
collection MIT
description © 2019 IEEE High power densities lead to thermal hot spots in modern processors. These power densities are expected to reach kW/cm2 scale in future high-performance chips and this increase may significantly degrade performance and reliability, if not handled efficiently. Using two-phase vapor chambers (VCs) with micropillar wick evaporators is an emerging technique that removes heat through the evaporation process of a coolant and has the potential to remove high heat fluxes. In this cooling system, the coolant is supplied passively to the micropillar wick via capillary pumping, eliminating the need for an external pump and ensuring stable thin-film flow. Evaluation of such an emerging cooling technique on realistic chip power densities and micropillar geometries necessitates accurate and fast thermal models. Although multi-physics simulators based on either finite-element or finite-volume methods are highly accurate, they have long design and simulation times. This paper introduces a novel compact thermal model capable of simulating two-phase vapor chambers with micropillar wick evaporators. In comparison to COMSOL, our model shows a competitively low error of 1.25°C and a 214x speedup. We also present a comparison of the cooling performance of different cooling techniques such as a conventional heat sink, liquid cooling via microchannels, hybrid cooling using thermoelectric coolers and liquid cooling via microchannels, and two-phase VCs with micropillar wick evaporators for the first time. Based on our observations, two-phase VCs and microchannel-based two-phase cooling show better cooling performance for hot spot power densities of less than 1500 W/cm2, while hybrid cooling achieves lower hot spot temperature and thermal gradients for hot spot power densities between 1500 and 2000 W/cm2
first_indexed 2024-09-23T14:16:08Z
format Article
id mit-1721.1/137890
institution Massachusetts Institute of Technology
language English
last_indexed 2024-09-23T14:16:08Z
publishDate 2021
publisher IEEE
record_format dspace
spelling mit-1721.1/1378902023-02-10T20:35:46Z Two-Phase Vapor Chambers with Micropillar Evaporators: A New Approach to Remove Heat from Future High-Performance Chips Yuan, Zihao Vaartstra, Geoffrey Shukla, Prachi Said, Mostafa Reda, Sherief Wang, Evelyn Coskun, Ayse K. Massachusetts Institute of Technology. Department of Mechanical Engineering © 2019 IEEE High power densities lead to thermal hot spots in modern processors. These power densities are expected to reach kW/cm2 scale in future high-performance chips and this increase may significantly degrade performance and reliability, if not handled efficiently. Using two-phase vapor chambers (VCs) with micropillar wick evaporators is an emerging technique that removes heat through the evaporation process of a coolant and has the potential to remove high heat fluxes. In this cooling system, the coolant is supplied passively to the micropillar wick via capillary pumping, eliminating the need for an external pump and ensuring stable thin-film flow. Evaluation of such an emerging cooling technique on realistic chip power densities and micropillar geometries necessitates accurate and fast thermal models. Although multi-physics simulators based on either finite-element or finite-volume methods are highly accurate, they have long design and simulation times. This paper introduces a novel compact thermal model capable of simulating two-phase vapor chambers with micropillar wick evaporators. In comparison to COMSOL, our model shows a competitively low error of 1.25°C and a 214x speedup. We also present a comparison of the cooling performance of different cooling techniques such as a conventional heat sink, liquid cooling via microchannels, hybrid cooling using thermoelectric coolers and liquid cooling via microchannels, and two-phase VCs with micropillar wick evaporators for the first time. Based on our observations, two-phase VCs and microchannel-based two-phase cooling show better cooling performance for hot spot power densities of less than 1500 W/cm2, while hybrid cooling achieves lower hot spot temperature and thermal gradients for hot spot power densities between 1500 and 2000 W/cm2 2021-11-09T14:49:23Z 2021-11-09T14:49:23Z 2019-05 2020-08-12T17:44:12Z Article http://purl.org/eprint/type/ConferencePaper https://hdl.handle.net/1721.1/137890 Yuan, Zihao, Vaartstra, Geoffrey, Shukla, Prachi, Said, Mostafa, Reda, Sherief et al. 2019. "Two-Phase Vapor Chambers with Micropillar Evaporators: A New Approach to Remove Heat from Future High-Performance Chips." IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), 2019-May. en 10.1109/itherm.2019.8757412 IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm) Creative Commons Attribution-Noncommercial-Share Alike http://creativecommons.org/licenses/by-nc-sa/4.0/ application/pdf IEEE other univ website
spellingShingle Yuan, Zihao
Vaartstra, Geoffrey
Shukla, Prachi
Said, Mostafa
Reda, Sherief
Wang, Evelyn
Coskun, Ayse K.
Two-Phase Vapor Chambers with Micropillar Evaporators: A New Approach to Remove Heat from Future High-Performance Chips
title Two-Phase Vapor Chambers with Micropillar Evaporators: A New Approach to Remove Heat from Future High-Performance Chips
title_full Two-Phase Vapor Chambers with Micropillar Evaporators: A New Approach to Remove Heat from Future High-Performance Chips
title_fullStr Two-Phase Vapor Chambers with Micropillar Evaporators: A New Approach to Remove Heat from Future High-Performance Chips
title_full_unstemmed Two-Phase Vapor Chambers with Micropillar Evaporators: A New Approach to Remove Heat from Future High-Performance Chips
title_short Two-Phase Vapor Chambers with Micropillar Evaporators: A New Approach to Remove Heat from Future High-Performance Chips
title_sort two phase vapor chambers with micropillar evaporators a new approach to remove heat from future high performance chips
url https://hdl.handle.net/1721.1/137890
work_keys_str_mv AT yuanzihao twophasevaporchamberswithmicropillarevaporatorsanewapproachtoremoveheatfromfuturehighperformancechips
AT vaartstrageoffrey twophasevaporchamberswithmicropillarevaporatorsanewapproachtoremoveheatfromfuturehighperformancechips
AT shuklaprachi twophasevaporchamberswithmicropillarevaporatorsanewapproachtoremoveheatfromfuturehighperformancechips
AT saidmostafa twophasevaporchamberswithmicropillarevaporatorsanewapproachtoremoveheatfromfuturehighperformancechips
AT redasherief twophasevaporchamberswithmicropillarevaporatorsanewapproachtoremoveheatfromfuturehighperformancechips
AT wangevelyn twophasevaporchamberswithmicropillarevaporatorsanewapproachtoremoveheatfromfuturehighperformancechips
AT coskunaysek twophasevaporchamberswithmicropillarevaporatorsanewapproachtoremoveheatfromfuturehighperformancechips